1cc0242d823f81f40e56033abffb710752bf6a07
[linux.git] / drivers / clk / ti / divider.c
1 /*
2  * TI Divider Clock
3  *
4  * Copyright (C) 2013 Texas Instruments, Inc.
5  *
6  * Tero Kristo <t-kristo@ti.com>
7  *
8  * This program is free software; you can redistribute it and/or modify
9  * it under the terms of the GNU General Public License version 2 as
10  * published by the Free Software Foundation.
11  *
12  * This program is distributed "as is" WITHOUT ANY WARRANTY of any
13  * kind, whether express or implied; without even the implied warranty
14  * of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15  * GNU General Public License for more details.
16  */
17
18 #include <linux/clk-provider.h>
19 #include <linux/slab.h>
20 #include <linux/err.h>
21 #include <linux/of.h>
22 #include <linux/of_address.h>
23 #include <linux/clk/ti.h>
24 #include "clock.h"
25
26 #undef pr_fmt
27 #define pr_fmt(fmt) "%s: " fmt, __func__
28
29 #define div_mask(d)     ((1 << ((d)->width)) - 1)
30
31 static unsigned int _get_table_maxdiv(const struct clk_div_table *table)
32 {
33         unsigned int maxdiv = 0;
34         const struct clk_div_table *clkt;
35
36         for (clkt = table; clkt->div; clkt++)
37                 if (clkt->div > maxdiv)
38                         maxdiv = clkt->div;
39         return maxdiv;
40 }
41
42 static unsigned int _get_maxdiv(struct clk_omap_divider *divider)
43 {
44         if (divider->flags & CLK_DIVIDER_ONE_BASED)
45                 return div_mask(divider);
46         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
47                 return 1 << div_mask(divider);
48         if (divider->table)
49                 return _get_table_maxdiv(divider->table);
50         return div_mask(divider) + 1;
51 }
52
53 static unsigned int _get_table_div(const struct clk_div_table *table,
54                                    unsigned int val)
55 {
56         const struct clk_div_table *clkt;
57
58         for (clkt = table; clkt->div; clkt++)
59                 if (clkt->val == val)
60                         return clkt->div;
61         return 0;
62 }
63
64 static unsigned int _get_div(struct clk_omap_divider *divider, unsigned int val)
65 {
66         if (divider->flags & CLK_DIVIDER_ONE_BASED)
67                 return val;
68         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
69                 return 1 << val;
70         if (divider->table)
71                 return _get_table_div(divider->table, val);
72         return val + 1;
73 }
74
75 static unsigned int _get_table_val(const struct clk_div_table *table,
76                                    unsigned int div)
77 {
78         const struct clk_div_table *clkt;
79
80         for (clkt = table; clkt->div; clkt++)
81                 if (clkt->div == div)
82                         return clkt->val;
83         return 0;
84 }
85
86 static unsigned int _get_val(struct clk_omap_divider *divider, u8 div)
87 {
88         if (divider->flags & CLK_DIVIDER_ONE_BASED)
89                 return div;
90         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
91                 return __ffs(div);
92         if (divider->table)
93                 return  _get_table_val(divider->table, div);
94         return div - 1;
95 }
96
97 static unsigned long ti_clk_divider_recalc_rate(struct clk_hw *hw,
98                                                 unsigned long parent_rate)
99 {
100         struct clk_omap_divider *divider = to_clk_omap_divider(hw);
101         unsigned int div, val;
102
103         val = ti_clk_ll_ops->clk_readl(divider->reg) >> divider->shift;
104         val &= div_mask(divider);
105
106         div = _get_div(divider, val);
107         if (!div) {
108                 WARN(!(divider->flags & CLK_DIVIDER_ALLOW_ZERO),
109                      "%s: Zero divisor and CLK_DIVIDER_ALLOW_ZERO not set\n",
110                      clk_hw_get_name(hw));
111                 return parent_rate;
112         }
113
114         return DIV_ROUND_UP(parent_rate, div);
115 }
116
117 /*
118  * The reverse of DIV_ROUND_UP: The maximum number which
119  * divided by m is r
120  */
121 #define MULT_ROUND_UP(r, m) ((r) * (m) + (m) - 1)
122
123 static bool _is_valid_table_div(const struct clk_div_table *table,
124                                 unsigned int div)
125 {
126         const struct clk_div_table *clkt;
127
128         for (clkt = table; clkt->div; clkt++)
129                 if (clkt->div == div)
130                         return true;
131         return false;
132 }
133
134 static bool _is_valid_div(struct clk_omap_divider *divider, unsigned int div)
135 {
136         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
137                 return is_power_of_2(div);
138         if (divider->table)
139                 return _is_valid_table_div(divider->table, div);
140         return true;
141 }
142
143 static int _div_round_up(const struct clk_div_table *table,
144                          unsigned long parent_rate, unsigned long rate)
145 {
146         const struct clk_div_table *clkt;
147         int up = INT_MAX;
148         int div = DIV_ROUND_UP_ULL((u64)parent_rate, rate);
149
150         for (clkt = table; clkt->div; clkt++) {
151                 if (clkt->div == div)
152                         return clkt->div;
153                 else if (clkt->div < div)
154                         continue;
155
156                 if ((clkt->div - div) < (up - div))
157                         up = clkt->div;
158         }
159
160         return up;
161 }
162
163 static int _div_round(const struct clk_div_table *table,
164                       unsigned long parent_rate, unsigned long rate)
165 {
166         if (!table)
167                 return DIV_ROUND_UP(parent_rate, rate);
168
169         return _div_round_up(table, parent_rate, rate);
170 }
171
172 static int ti_clk_divider_bestdiv(struct clk_hw *hw, unsigned long rate,
173                                   unsigned long *best_parent_rate)
174 {
175         struct clk_omap_divider *divider = to_clk_omap_divider(hw);
176         int i, bestdiv = 0;
177         unsigned long parent_rate, best = 0, now, maxdiv;
178         unsigned long parent_rate_saved = *best_parent_rate;
179
180         if (!rate)
181                 rate = 1;
182
183         maxdiv = _get_maxdiv(divider);
184
185         if (!(clk_hw_get_flags(hw) & CLK_SET_RATE_PARENT)) {
186                 parent_rate = *best_parent_rate;
187                 bestdiv = _div_round(divider->table, parent_rate, rate);
188                 bestdiv = bestdiv == 0 ? 1 : bestdiv;
189                 bestdiv = bestdiv > maxdiv ? maxdiv : bestdiv;
190                 return bestdiv;
191         }
192
193         /*
194          * The maximum divider we can use without overflowing
195          * unsigned long in rate * i below
196          */
197         maxdiv = min(ULONG_MAX / rate, maxdiv);
198
199         for (i = 1; i <= maxdiv; i++) {
200                 if (!_is_valid_div(divider, i))
201                         continue;
202                 if (rate * i == parent_rate_saved) {
203                         /*
204                          * It's the most ideal case if the requested rate can be
205                          * divided from parent clock without needing to change
206                          * parent rate, so return the divider immediately.
207                          */
208                         *best_parent_rate = parent_rate_saved;
209                         return i;
210                 }
211                 parent_rate = clk_hw_round_rate(clk_hw_get_parent(hw),
212                                 MULT_ROUND_UP(rate, i));
213                 now = DIV_ROUND_UP(parent_rate, i);
214                 if (now <= rate && now > best) {
215                         bestdiv = i;
216                         best = now;
217                         *best_parent_rate = parent_rate;
218                 }
219         }
220
221         if (!bestdiv) {
222                 bestdiv = _get_maxdiv(divider);
223                 *best_parent_rate =
224                         clk_hw_round_rate(clk_hw_get_parent(hw), 1);
225         }
226
227         return bestdiv;
228 }
229
230 static long ti_clk_divider_round_rate(struct clk_hw *hw, unsigned long rate,
231                                       unsigned long *prate)
232 {
233         int div;
234         div = ti_clk_divider_bestdiv(hw, rate, prate);
235
236         return DIV_ROUND_UP(*prate, div);
237 }
238
239 static int ti_clk_divider_set_rate(struct clk_hw *hw, unsigned long rate,
240                                    unsigned long parent_rate)
241 {
242         struct clk_omap_divider *divider;
243         unsigned int div, value;
244         u32 val;
245
246         if (!hw || !rate)
247                 return -EINVAL;
248
249         divider = to_clk_omap_divider(hw);
250
251         div = DIV_ROUND_UP(parent_rate, rate);
252         value = _get_val(divider, div);
253
254         if (value > div_mask(divider))
255                 value = div_mask(divider);
256
257         if (divider->flags & CLK_DIVIDER_HIWORD_MASK) {
258                 val = div_mask(divider) << (divider->shift + 16);
259         } else {
260                 val = ti_clk_ll_ops->clk_readl(divider->reg);
261                 val &= ~(div_mask(divider) << divider->shift);
262         }
263         val |= value << divider->shift;
264         ti_clk_ll_ops->clk_writel(val, divider->reg);
265
266         return 0;
267 }
268
269 const struct clk_ops ti_clk_divider_ops = {
270         .recalc_rate = ti_clk_divider_recalc_rate,
271         .round_rate = ti_clk_divider_round_rate,
272         .set_rate = ti_clk_divider_set_rate,
273 };
274
275 static struct clk *_register_divider(struct device *dev, const char *name,
276                                      const char *parent_name,
277                                      unsigned long flags, void __iomem *reg,
278                                      u8 shift, u8 width, u8 clk_divider_flags,
279                                      const struct clk_div_table *table)
280 {
281         struct clk_omap_divider *div;
282         struct clk *clk;
283         struct clk_init_data init;
284
285         if (clk_divider_flags & CLK_DIVIDER_HIWORD_MASK) {
286                 if (width + shift > 16) {
287                         pr_warn("divider value exceeds LOWORD field\n");
288                         return ERR_PTR(-EINVAL);
289                 }
290         }
291
292         /* allocate the divider */
293         div = kzalloc(sizeof(*div), GFP_KERNEL);
294         if (!div) {
295                 pr_err("%s: could not allocate divider clk\n", __func__);
296                 return ERR_PTR(-ENOMEM);
297         }
298
299         init.name = name;
300         init.ops = &ti_clk_divider_ops;
301         init.flags = flags | CLK_IS_BASIC;
302         init.parent_names = (parent_name ? &parent_name : NULL);
303         init.num_parents = (parent_name ? 1 : 0);
304
305         /* struct clk_divider assignments */
306         div->reg = reg;
307         div->shift = shift;
308         div->width = width;
309         div->flags = clk_divider_flags;
310         div->hw.init = &init;
311         div->table = table;
312
313         /* register the clock */
314         clk = ti_clk_register(dev, &div->hw, name);
315
316         if (IS_ERR(clk))
317                 kfree(div);
318
319         return clk;
320 }
321
322 int ti_clk_parse_divider_data(int *div_table, int num_dividers, int max_div,
323                               u8 flags, u8 *width,
324                               const struct clk_div_table **table)
325 {
326         int valid_div = 0;
327         u32 val;
328         int div;
329         int i;
330         struct clk_div_table *tmp;
331
332         if (!div_table) {
333                 if (flags & CLKF_INDEX_STARTS_AT_ONE)
334                         val = 1;
335                 else
336                         val = 0;
337
338                 div = 1;
339
340                 while (div < max_div) {
341                         if (flags & CLKF_INDEX_POWER_OF_TWO)
342                                 div <<= 1;
343                         else
344                                 div++;
345                         val++;
346                 }
347
348                 *width = fls(val);
349                 *table = NULL;
350
351                 return 0;
352         }
353
354         i = 0;
355
356         while (!num_dividers || i < num_dividers) {
357                 if (div_table[i] == -1)
358                         break;
359                 if (div_table[i])
360                         valid_div++;
361                 i++;
362         }
363
364         num_dividers = i;
365
366         tmp = kzalloc(sizeof(*tmp) * (valid_div + 1), GFP_KERNEL);
367         if (!tmp)
368                 return -ENOMEM;
369
370         valid_div = 0;
371         *width = 0;
372
373         for (i = 0; i < num_dividers; i++)
374                 if (div_table[i] > 0) {
375                         tmp[valid_div].div = div_table[i];
376                         tmp[valid_div].val = i;
377                         valid_div++;
378                         *width = i;
379                 }
380
381         *width = fls(*width);
382         *table = tmp;
383
384         return 0;
385 }
386
387 static const struct clk_div_table *
388 _get_div_table_from_setup(struct ti_clk_divider *setup, u8 *width)
389 {
390         const struct clk_div_table *table = NULL;
391
392         ti_clk_parse_divider_data(setup->dividers, setup->num_dividers,
393                                   setup->max_div, setup->flags, width,
394                                   &table);
395
396         return table;
397 }
398
399 struct clk_hw *ti_clk_build_component_div(struct ti_clk_divider *setup)
400 {
401         struct clk_omap_divider *div;
402         struct clk_omap_reg *reg;
403
404         if (!setup)
405                 return NULL;
406
407         div = kzalloc(sizeof(*div), GFP_KERNEL);
408         if (!div)
409                 return ERR_PTR(-ENOMEM);
410
411         reg = (struct clk_omap_reg *)&div->reg;
412         reg->index = setup->module;
413         reg->offset = setup->reg;
414
415         if (setup->flags & CLKF_INDEX_STARTS_AT_ONE)
416                 div->flags |= CLK_DIVIDER_ONE_BASED;
417
418         if (setup->flags & CLKF_INDEX_POWER_OF_TWO)
419                 div->flags |= CLK_DIVIDER_POWER_OF_TWO;
420
421         div->table = _get_div_table_from_setup(setup, &div->width);
422
423         div->shift = setup->bit_shift;
424
425         return &div->hw;
426 }
427
428 struct clk *ti_clk_register_divider(struct ti_clk *setup)
429 {
430         struct ti_clk_divider *div;
431         struct clk_omap_reg *reg_setup;
432         u32 reg;
433         u8 width;
434         u32 flags = 0;
435         u8 div_flags = 0;
436         const struct clk_div_table *table;
437         struct clk *clk;
438
439         div = setup->data;
440
441         reg_setup = (struct clk_omap_reg *)&reg;
442
443         reg_setup->index = div->module;
444         reg_setup->offset = div->reg;
445
446         if (div->flags & CLKF_INDEX_STARTS_AT_ONE)
447                 div_flags |= CLK_DIVIDER_ONE_BASED;
448
449         if (div->flags & CLKF_INDEX_POWER_OF_TWO)
450                 div_flags |= CLK_DIVIDER_POWER_OF_TWO;
451
452         if (div->flags & CLKF_SET_RATE_PARENT)
453                 flags |= CLK_SET_RATE_PARENT;
454
455         table = _get_div_table_from_setup(div, &width);
456         if (IS_ERR(table))
457                 return (struct clk *)table;
458
459         clk = _register_divider(NULL, setup->name, div->parent,
460                                 flags, (void __iomem *)reg, div->bit_shift,
461                                 width, div_flags, table);
462
463         if (IS_ERR(clk))
464                 kfree(table);
465
466         return clk;
467 }
468
469 static struct clk_div_table *
470 __init ti_clk_get_div_table(struct device_node *node)
471 {
472         struct clk_div_table *table;
473         const __be32 *divspec;
474         u32 val;
475         u32 num_div;
476         u32 valid_div;
477         int i;
478
479         divspec = of_get_property(node, "ti,dividers", &num_div);
480
481         if (!divspec)
482                 return NULL;
483
484         num_div /= 4;
485
486         valid_div = 0;
487
488         /* Determine required size for divider table */
489         for (i = 0; i < num_div; i++) {
490                 of_property_read_u32_index(node, "ti,dividers", i, &val);
491                 if (val)
492                         valid_div++;
493         }
494
495         if (!valid_div) {
496                 pr_err("no valid dividers for %s table\n", node->name);
497                 return ERR_PTR(-EINVAL);
498         }
499
500         table = kzalloc(sizeof(*table) * (valid_div + 1), GFP_KERNEL);
501
502         if (!table)
503                 return ERR_PTR(-ENOMEM);
504
505         valid_div = 0;
506
507         for (i = 0; i < num_div; i++) {
508                 of_property_read_u32_index(node, "ti,dividers", i, &val);
509                 if (val) {
510                         table[valid_div].div = val;
511                         table[valid_div].val = i;
512                         valid_div++;
513                 }
514         }
515
516         return table;
517 }
518
519 static int _get_divider_width(struct device_node *node,
520                               const struct clk_div_table *table,
521                               u8 flags)
522 {
523         u32 min_div;
524         u32 max_div;
525         u32 val = 0;
526         u32 div;
527
528         if (!table) {
529                 /* Clk divider table not provided, determine min/max divs */
530                 if (of_property_read_u32(node, "ti,min-div", &min_div))
531                         min_div = 1;
532
533                 if (of_property_read_u32(node, "ti,max-div", &max_div)) {
534                         pr_err("no max-div for %s!\n", node->name);
535                         return -EINVAL;
536                 }
537
538                 /* Determine bit width for the field */
539                 if (flags & CLK_DIVIDER_ONE_BASED)
540                         val = 1;
541
542                 div = min_div;
543
544                 while (div < max_div) {
545                         if (flags & CLK_DIVIDER_POWER_OF_TWO)
546                                 div <<= 1;
547                         else
548                                 div++;
549                         val++;
550                 }
551         } else {
552                 div = 0;
553
554                 while (table[div].div) {
555                         val = table[div].val;
556                         div++;
557                 }
558         }
559
560         return fls(val);
561 }
562
563 static int __init ti_clk_divider_populate(struct device_node *node,
564         void __iomem **reg, const struct clk_div_table **table,
565         u32 *flags, u8 *div_flags, u8 *width, u8 *shift)
566 {
567         u32 val;
568
569         *reg = ti_clk_get_reg_addr(node, 0);
570         if (IS_ERR(*reg))
571                 return PTR_ERR(*reg);
572
573         if (!of_property_read_u32(node, "ti,bit-shift", &val))
574                 *shift = val;
575         else
576                 *shift = 0;
577
578         *flags = 0;
579         *div_flags = 0;
580
581         if (of_property_read_bool(node, "ti,index-starts-at-one"))
582                 *div_flags |= CLK_DIVIDER_ONE_BASED;
583
584         if (of_property_read_bool(node, "ti,index-power-of-two"))
585                 *div_flags |= CLK_DIVIDER_POWER_OF_TWO;
586
587         if (of_property_read_bool(node, "ti,set-rate-parent"))
588                 *flags |= CLK_SET_RATE_PARENT;
589
590         *table = ti_clk_get_div_table(node);
591
592         if (IS_ERR(*table))
593                 return PTR_ERR(*table);
594
595         *width = _get_divider_width(node, *table, *div_flags);
596
597         return 0;
598 }
599
600 /**
601  * of_ti_divider_clk_setup - Setup function for simple div rate clock
602  * @node: device node for this clock
603  *
604  * Sets up a basic divider clock.
605  */
606 static void __init of_ti_divider_clk_setup(struct device_node *node)
607 {
608         struct clk *clk;
609         const char *parent_name;
610         void __iomem *reg;
611         u8 clk_divider_flags = 0;
612         u8 width = 0;
613         u8 shift = 0;
614         const struct clk_div_table *table = NULL;
615         u32 flags = 0;
616
617         parent_name = of_clk_get_parent_name(node, 0);
618
619         if (ti_clk_divider_populate(node, &reg, &table, &flags,
620                                     &clk_divider_flags, &width, &shift))
621                 goto cleanup;
622
623         clk = _register_divider(NULL, node->name, parent_name, flags, reg,
624                                 shift, width, clk_divider_flags, table);
625
626         if (!IS_ERR(clk)) {
627                 of_clk_add_provider(node, of_clk_src_simple_get, clk);
628                 of_ti_clk_autoidle_setup(node);
629                 return;
630         }
631
632 cleanup:
633         kfree(table);
634 }
635 CLK_OF_DECLARE(divider_clk, "ti,divider-clock", of_ti_divider_clk_setup);
636
637 static void __init of_ti_composite_divider_clk_setup(struct device_node *node)
638 {
639         struct clk_omap_divider *div;
640         u32 val;
641
642         div = kzalloc(sizeof(*div), GFP_KERNEL);
643         if (!div)
644                 return;
645
646         if (ti_clk_divider_populate(node, &div->reg, &div->table, &val,
647                                     &div->flags, &div->width, &div->shift) < 0)
648                 goto cleanup;
649
650         if (!ti_clk_add_component(node, &div->hw, CLK_COMPONENT_TYPE_DIVIDER))
651                 return;
652
653 cleanup:
654         kfree(div->table);
655         kfree(div);
656 }
657 CLK_OF_DECLARE(ti_composite_divider_clk, "ti,composite-divider-clock",
658                of_ti_composite_divider_clk_setup);