Pull video into test branch
[linux-drm-fsl-dcu.git] / arch / mips / mm / c-sb1.c
1 /*
2  * Copyright (C) 1996 David S. Miller (dm@engr.sgi.com)
3  * Copyright (C) 1997, 2001 Ralf Baechle (ralf@gnu.org)
4  * Copyright (C) 2000, 2001, 2002, 2003 Broadcom Corporation
5  * Copyright (C) 2004  Maciej W. Rozycki
6  *
7  * This program is free software; you can redistribute it and/or
8  * modify it under the terms of the GNU General Public License
9  * as published by the Free Software Foundation; either version 2
10  * of the License, or (at your option) any later version.
11  *
12  * This program is distributed in the hope that it will be useful,
13  * but WITHOUT ANY WARRANTY; without even the implied warranty of
14  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15  * GNU General Public License for more details.
16  *
17  * You should have received a copy of the GNU General Public License
18  * along with this program; if not, write to the Free Software
19  * Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.
20  */
21 #include <linux/init.h>
22 #include <linux/hardirq.h>
23
24 #include <asm/asm.h>
25 #include <asm/bootinfo.h>
26 #include <asm/cacheops.h>
27 #include <asm/cpu.h>
28 #include <asm/mipsregs.h>
29 #include <asm/mmu_context.h>
30 #include <asm/uaccess.h>
31
32 extern void sb1_dma_init(void);
33
34 /* These are probed at ld_mmu time */
35 static unsigned long icache_size;
36 static unsigned long dcache_size;
37
38 static unsigned short icache_line_size;
39 static unsigned short dcache_line_size;
40
41 static unsigned int icache_index_mask;
42 static unsigned int dcache_index_mask;
43
44 static unsigned short icache_assoc;
45 static unsigned short dcache_assoc;
46
47 static unsigned short icache_sets;
48 static unsigned short dcache_sets;
49
50 static unsigned int icache_range_cutoff;
51 static unsigned int dcache_range_cutoff;
52
53 static inline void sb1_on_each_cpu(void (*func) (void *info), void *info,
54                                    int retry, int wait)
55 {
56         preempt_disable();
57         smp_call_function(func, info, retry, wait);
58         func(info);
59         preempt_enable();
60 }
61
62 /*
63  * The dcache is fully coherent to the system, with one
64  * big caveat:  the instruction stream.  In other words,
65  * if we miss in the icache, and have dirty data in the
66  * L1 dcache, then we'll go out to memory (or the L2) and
67  * get the not-as-recent data.
68  *
69  * So the only time we have to flush the dcache is when
70  * we're flushing the icache.  Since the L2 is fully
71  * coherent to everything, including I/O, we never have
72  * to flush it
73  */
74
75 #define cache_set_op(op, addr)                                          \
76         __asm__ __volatile__(                                           \
77         "       .set    noreorder               \n"                     \
78         "       .set    mips64\n\t              \n"                     \
79         "       cache   %0, (0<<13)(%1)         \n"                     \
80         "       cache   %0, (1<<13)(%1)         \n"                     \
81         "       cache   %0, (2<<13)(%1)         \n"                     \
82         "       cache   %0, (3<<13)(%1)         \n"                     \
83         "       .set    mips0                   \n"                     \
84         "       .set    reorder"                                        \
85         :                                                               \
86         : "i" (op), "r" (addr))
87
88 #define sync()                                                          \
89         __asm__ __volatile(                                             \
90         "       .set    mips64\n\t              \n"                     \
91         "       sync                            \n"                     \
92         "       .set    mips0")
93
94 #define mispredict()                                                    \
95         __asm__ __volatile__(                                           \
96         "       bnezl  $0, 1f           \n" /* Force mispredict */      \
97         "1:                             \n");
98
99 /*
100  * Writeback and invalidate the entire dcache
101  */
102 static inline void __sb1_writeback_inv_dcache_all(void)
103 {
104         unsigned long addr = 0;
105
106         while (addr < dcache_line_size * dcache_sets) {
107                 cache_set_op(Index_Writeback_Inv_D, addr);
108                 addr += dcache_line_size;
109         }
110 }
111
112 /*
113  * Writeback and invalidate a range of the dcache.  The addresses are
114  * virtual, and since we're using index ops and bit 12 is part of both
115  * the virtual frame and physical index, we have to clear both sets
116  * (bit 12 set and cleared).
117  */
118 static inline void __sb1_writeback_inv_dcache_range(unsigned long start,
119         unsigned long end)
120 {
121         unsigned long index;
122
123         start &= ~(dcache_line_size - 1);
124         end = (end + dcache_line_size - 1) & ~(dcache_line_size - 1);
125
126         while (start != end) {
127                 index = start & dcache_index_mask;
128                 cache_set_op(Index_Writeback_Inv_D, index);
129                 cache_set_op(Index_Writeback_Inv_D, index ^ (1<<12));
130                 start += dcache_line_size;
131         }
132         sync();
133 }
134
135 /*
136  * Writeback and invalidate a range of the dcache.  With physical
137  * addresseses, we don't have to worry about possible bit 12 aliasing.
138  * XXXKW is it worth turning on KX and using hit ops with xkphys?
139  */
140 static inline void __sb1_writeback_inv_dcache_phys_range(unsigned long start,
141         unsigned long end)
142 {
143         start &= ~(dcache_line_size - 1);
144         end = (end + dcache_line_size - 1) & ~(dcache_line_size - 1);
145
146         while (start != end) {
147                 cache_set_op(Index_Writeback_Inv_D, start & dcache_index_mask);
148                 start += dcache_line_size;
149         }
150         sync();
151 }
152
153
154 /*
155  * Invalidate the entire icache
156  */
157 static inline void __sb1_flush_icache_all(void)
158 {
159         unsigned long addr = 0;
160
161         while (addr < icache_line_size * icache_sets) {
162                 cache_set_op(Index_Invalidate_I, addr);
163                 addr += icache_line_size;
164         }
165 }
166
167 /*
168  * Invalidate a range of the icache.  The addresses are virtual, and
169  * the cache is virtually indexed and tagged.  However, we don't
170  * necessarily have the right ASID context, so use index ops instead
171  * of hit ops.
172  */
173 static inline void __sb1_flush_icache_range(unsigned long start,
174         unsigned long end)
175 {
176         start &= ~(icache_line_size - 1);
177         end = (end + icache_line_size - 1) & ~(icache_line_size - 1);
178
179         while (start != end) {
180                 cache_set_op(Index_Invalidate_I, start & icache_index_mask);
181                 start += icache_line_size;
182         }
183         mispredict();
184         sync();
185 }
186
187 /*
188  * Flush the icache for a given physical page.  Need to writeback the
189  * dcache first, then invalidate the icache.  If the page isn't
190  * executable, nothing is required.
191  */
192 static void local_sb1_flush_cache_page(struct vm_area_struct *vma, unsigned long addr, unsigned long pfn)
193 {
194         int cpu = smp_processor_id();
195
196 #ifndef CONFIG_SMP
197         if (!(vma->vm_flags & VM_EXEC))
198                 return;
199 #endif
200
201         __sb1_writeback_inv_dcache_range(addr, addr + PAGE_SIZE);
202
203         /*
204          * Bumping the ASID is probably cheaper than the flush ...
205          */
206         if (vma->vm_mm == current->active_mm) {
207                 if (cpu_context(cpu, vma->vm_mm) != 0)
208                         drop_mmu_context(vma->vm_mm, cpu);
209         } else
210                 __sb1_flush_icache_range(addr, addr + PAGE_SIZE);
211 }
212
213 #ifdef CONFIG_SMP
214 struct flush_cache_page_args {
215         struct vm_area_struct *vma;
216         unsigned long addr;
217         unsigned long pfn;
218 };
219
220 static void sb1_flush_cache_page_ipi(void *info)
221 {
222         struct flush_cache_page_args *args = info;
223
224         local_sb1_flush_cache_page(args->vma, args->addr, args->pfn);
225 }
226
227 /* Dirty dcache could be on another CPU, so do the IPIs */
228 static void sb1_flush_cache_page(struct vm_area_struct *vma, unsigned long addr, unsigned long pfn)
229 {
230         struct flush_cache_page_args args;
231
232         if (!(vma->vm_flags & VM_EXEC))
233                 return;
234
235         addr &= PAGE_MASK;
236         args.vma = vma;
237         args.addr = addr;
238         args.pfn = pfn;
239         sb1_on_each_cpu(sb1_flush_cache_page_ipi, (void *) &args, 1, 1);
240 }
241 #else
242 void sb1_flush_cache_page(struct vm_area_struct *vma, unsigned long addr, unsigned long pfn)
243         __attribute__((alias("local_sb1_flush_cache_page")));
244 #endif
245
246 #ifdef CONFIG_SMP
247 static void sb1_flush_cache_data_page_ipi(void *info)
248 {
249         unsigned long start = (unsigned long)info;
250
251         __sb1_writeback_inv_dcache_range(start, start + PAGE_SIZE);
252 }
253
254 static void sb1_flush_cache_data_page(unsigned long addr)
255 {
256         if (in_atomic())
257                 __sb1_writeback_inv_dcache_range(addr, addr + PAGE_SIZE);
258         else
259                 on_each_cpu(sb1_flush_cache_data_page_ipi, (void *) addr, 1, 1);
260 }
261 #else
262 void sb1_flush_cache_data_page(unsigned long)
263         __attribute__((alias("local_sb1_flush_cache_data_page")));
264 #endif
265
266 /*
267  * Invalidate all caches on this CPU
268  */
269 static void __attribute_used__ local_sb1___flush_cache_all(void)
270 {
271         __sb1_writeback_inv_dcache_all();
272         __sb1_flush_icache_all();
273 }
274
275 #ifdef CONFIG_SMP
276 void sb1___flush_cache_all_ipi(void *ignored)
277         __attribute__((alias("local_sb1___flush_cache_all")));
278
279 static void sb1___flush_cache_all(void)
280 {
281         sb1_on_each_cpu(sb1___flush_cache_all_ipi, 0, 1, 1);
282 }
283 #else
284 void sb1___flush_cache_all(void)
285         __attribute__((alias("local_sb1___flush_cache_all")));
286 #endif
287
288 /*
289  * When flushing a range in the icache, we have to first writeback
290  * the dcache for the same range, so new ifetches will see any
291  * data that was dirty in the dcache.
292  *
293  * The start/end arguments are Kseg addresses (possibly mapped Kseg).
294  */
295
296 static void local_sb1_flush_icache_range(unsigned long start,
297         unsigned long end)
298 {
299         /* Just wb-inv the whole dcache if the range is big enough */
300         if ((end - start) > dcache_range_cutoff)
301                 __sb1_writeback_inv_dcache_all();
302         else
303                 __sb1_writeback_inv_dcache_range(start, end);
304
305         /* Just flush the whole icache if the range is big enough */
306         if ((end - start) > icache_range_cutoff)
307                 __sb1_flush_icache_all();
308         else
309                 __sb1_flush_icache_range(start, end);
310 }
311
312 #ifdef CONFIG_SMP
313 struct flush_icache_range_args {
314         unsigned long start;
315         unsigned long end;
316 };
317
318 static void sb1_flush_icache_range_ipi(void *info)
319 {
320         struct flush_icache_range_args *args = info;
321
322         local_sb1_flush_icache_range(args->start, args->end);
323 }
324
325 void sb1_flush_icache_range(unsigned long start, unsigned long end)
326 {
327         struct flush_icache_range_args args;
328
329         args.start = start;
330         args.end = end;
331         sb1_on_each_cpu(sb1_flush_icache_range_ipi, &args, 1, 1);
332 }
333 #else
334 void sb1_flush_icache_range(unsigned long start, unsigned long end)
335         __attribute__((alias("local_sb1_flush_icache_range")));
336 #endif
337
338 /*
339  * A signal trampoline must fit into a single cacheline.
340  */
341 static void local_sb1_flush_cache_sigtramp(unsigned long addr)
342 {
343         cache_set_op(Index_Writeback_Inv_D, addr & dcache_index_mask);
344         cache_set_op(Index_Writeback_Inv_D, (addr ^ (1<<12)) & dcache_index_mask);
345         cache_set_op(Index_Invalidate_I, addr & icache_index_mask);
346         mispredict();
347 }
348
349 #ifdef CONFIG_SMP
350 static void sb1_flush_cache_sigtramp_ipi(void *info)
351 {
352         unsigned long iaddr = (unsigned long) info;
353         local_sb1_flush_cache_sigtramp(iaddr);
354 }
355
356 static void sb1_flush_cache_sigtramp(unsigned long addr)
357 {
358         sb1_on_each_cpu(sb1_flush_cache_sigtramp_ipi, (void *) addr, 1, 1);
359 }
360 #else
361 void sb1_flush_cache_sigtramp(unsigned long addr)
362         __attribute__((alias("local_sb1_flush_cache_sigtramp")));
363 #endif
364
365
366 /*
367  * Anything that just flushes dcache state can be ignored, as we're always
368  * coherent in dcache space.  This is just a dummy function that all the
369  * nop'ed routines point to
370  */
371 static void sb1_nop(void)
372 {
373 }
374
375 /*
376  *  Cache set values (from the mips64 spec)
377  * 0 - 64
378  * 1 - 128
379  * 2 - 256
380  * 3 - 512
381  * 4 - 1024
382  * 5 - 2048
383  * 6 - 4096
384  * 7 - Reserved
385  */
386
387 static unsigned int decode_cache_sets(unsigned int config_field)
388 {
389         if (config_field == 7) {
390                 /* JDCXXX - Find a graceful way to abort. */
391                 return 0;
392         }
393         return (1<<(config_field + 6));
394 }
395
396 /*
397  *  Cache line size values (from the mips64 spec)
398  * 0 - No cache present.
399  * 1 - 4 bytes
400  * 2 - 8 bytes
401  * 3 - 16 bytes
402  * 4 - 32 bytes
403  * 5 - 64 bytes
404  * 6 - 128 bytes
405  * 7 - Reserved
406  */
407
408 static unsigned int decode_cache_line_size(unsigned int config_field)
409 {
410         if (config_field == 0) {
411                 return 0;
412         } else if (config_field == 7) {
413                 /* JDCXXX - Find a graceful way to abort. */
414                 return 0;
415         }
416         return (1<<(config_field + 1));
417 }
418
419 /*
420  * Relevant bits of the config1 register format (from the MIPS32/MIPS64 specs)
421  *
422  * 24:22 Icache sets per way
423  * 21:19 Icache line size
424  * 18:16 Icache Associativity
425  * 15:13 Dcache sets per way
426  * 12:10 Dcache line size
427  * 9:7   Dcache Associativity
428  */
429
430 static char *way_string[] = {
431         "direct mapped", "2-way", "3-way", "4-way",
432         "5-way", "6-way", "7-way", "8-way",
433 };
434
435 static __init void probe_cache_sizes(void)
436 {
437         u32 config1;
438
439         config1 = read_c0_config1();
440         icache_line_size = decode_cache_line_size((config1 >> 19) & 0x7);
441         dcache_line_size = decode_cache_line_size((config1 >> 10) & 0x7);
442         icache_sets = decode_cache_sets((config1 >> 22) & 0x7);
443         dcache_sets = decode_cache_sets((config1 >> 13) & 0x7);
444         icache_assoc = ((config1 >> 16) & 0x7) + 1;
445         dcache_assoc = ((config1 >> 7) & 0x7) + 1;
446         icache_size = icache_line_size * icache_sets * icache_assoc;
447         dcache_size = dcache_line_size * dcache_sets * dcache_assoc;
448         /* Need to remove non-index bits for index ops */
449         icache_index_mask = (icache_sets - 1) * icache_line_size;
450         dcache_index_mask = (dcache_sets - 1) * dcache_line_size;
451         /*
452          * These are for choosing range (index ops) versus all.
453          * icache flushes all ways for each set, so drop icache_assoc.
454          * dcache flushes all ways and each setting of bit 12 for each
455          * index, so drop dcache_assoc and halve the dcache_sets.
456          */
457         icache_range_cutoff = icache_sets * icache_line_size;
458         dcache_range_cutoff = (dcache_sets / 2) * icache_line_size;
459
460         printk("Primary instruction cache %ldkB, %s, linesize %d bytes.\n",
461                icache_size >> 10, way_string[icache_assoc - 1],
462                icache_line_size);
463         printk("Primary data cache %ldkB, %s, linesize %d bytes.\n",
464                dcache_size >> 10, way_string[dcache_assoc - 1],
465                dcache_line_size);
466 }
467
468 /*
469  * This is called from cache.c.  We have to set up all the
470  * memory management function pointers, as well as initialize
471  * the caches and tlbs
472  */
473 void sb1_cache_init(void)
474 {
475         extern char except_vec2_sb1;
476
477         /* Special cache error handler for SB1 */
478         set_uncached_handler (0x100, &except_vec2_sb1, 0x80);
479
480         probe_cache_sizes();
481
482 #ifdef CONFIG_SIBYTE_DMA_PAGEOPS
483         sb1_dma_init();
484 #endif
485
486         /*
487          * None of these are needed for the SB1 - the Dcache is
488          * physically indexed and tagged, so no virtual aliasing can
489          * occur
490          */
491         flush_cache_range = (void *) sb1_nop;
492         flush_cache_mm = (void (*)(struct mm_struct *))sb1_nop;
493         flush_cache_all = sb1_nop;
494
495         /* These routines are for Icache coherence with the Dcache */
496         flush_icache_range = sb1_flush_icache_range;
497         flush_icache_all = __sb1_flush_icache_all; /* local only */
498
499         /* This implies an Icache flush too, so can't be nop'ed */
500         flush_cache_page = sb1_flush_cache_page;
501
502         flush_cache_sigtramp = sb1_flush_cache_sigtramp;
503         local_flush_data_cache_page = (void *) sb1_nop;
504         flush_data_cache_page = sb1_flush_cache_data_page;
505
506         /* Full flush */
507         __flush_cache_all = sb1___flush_cache_all;
508
509         change_c0_config(CONF_CM_CMASK, CONF_CM_DEFAULT);
510
511         /*
512          * This is the only way to force the update of K0 to complete
513          * before subsequent instruction fetch.
514          */
515         __asm__ __volatile__(
516                 ".set   push                    \n"
517         "       .set    noat                    \n"
518         "       .set    noreorder               \n"
519         "       .set    mips3                   \n"
520         "       " STR(PTR_LA) " $1, 1f          \n"
521         "       " STR(MTC0) "   $1, $14         \n"
522         "       eret                            \n"
523         "1:     .set    pop"
524         :
525         :
526         : "memory");
527
528         local_sb1___flush_cache_all();
529 }